[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Command Failed For Target tcp-asym-sink.o



Hi Venkat,
	I'm still having the problems in compiling the new code of tcp-asym-sink.cc.  We have installed NS release 2.1b3, Nam release 1.0a4, Tcl release 8.0p2, Tk release 8.0p2, TclCL release 1.0b6.  

> configure
loading cache ./config.cache
No .configure file found in current directory
Continuing with default options...
checking host system type... sparc-sun-solaris2.6
checking target system type... sparc-sun-solaris2.6
checking build system type... sparc-sun-solaris2.6
checking for gcc... (cached) gcc
checking whether the C compiler (gcc  ) works... yes
checking whether the C compiler (gcc  ) is a cross-compiler... no
checking whether we are using GNU C... (cached) yes
checking whether gcc accepts -g... (cached) yes
checking for c++... (cached) c++
checking whether the C++ compiler (c++  ) works... yes
checking whether the C++ compiler (c++  ) is a cross-compiler... no
checking whether we are using GNU C++... (cached) yes
checking whether c++ accepts -g... (cached) yes
checking how to run the C preprocessor... (cached) gcc -E
checking for ANSI C header files... (cached) yes
checking for string.h... (cached) yes
checking for main in -lXbsd... (cached) no
checking for socket in -lsocket... (cached) yes
checking for gethostbyname in -lnsl... (cached) yes
checking for getnodebyname in -ldnet_stub... (cached) no
checking for tcl.h... -I../tcl8.0/generic
checking for libtcl8.0... -R../tcl8.0/unix -L../tcl8.0/unix -ltcl8.0
checking for init.tcl... ../tcl8.0/library
checking for tclsh8.0... (cached) tclsh8.0
checking for tk.h... -I/nsallinone/ns-2/../tk8.0/generic
checking for libtk8.0... -R/nsallinone/ns-2/../tk8.0/unix -L/nsallinone/ns-2/../tk8.0/unix -ltk8.0
checking for tk.tcl... /nsallinone/ns-2/../tk8.0/library
checking for otcl.h... -I../otcl
checking for libotcl1.0a3... -R../otcl -L../otcl -lotcl
checking for tclcl.h... -I../TclCL
checking for libtclcl1.0b6... -R../TclCL -L../TclCL -ltclcl
checking for tcl2c++... ../TclCL
checking for X11 header files
checking for X11 library archive
checking for XOpenDisplay in -lX11... (cached) yes
checking for XShmAttach in -lXext... (cached) yes
checking for Dbg.h... no
checking for libtcldbg... no
checking dmalloc... not requested with --with-dmalloc
checking for perl... no
checking for perl5... no
    perl version 5.000 not found
configure: warning: Perl5 not found; test scripts will not run succesfully.
No explicit static compilation flag; setting V_STATIC to "-static"
checking for main in -ldl... (cached) yes
checking for a BSD compatible install... (cached) /usr/local/bin/install -c
creating ./config.status
creating Makefile
> make
c++ -c -g -DNO_TK -DTCLCL_CLASSINSTVAR  -DNDEBUG -mv8 -msupersparc -D__svr4__ -DUSE_SHM -DHAVE_LIBTCLCL1_0B6 -DHAVE_TCLCL_H -DHAVE_LIBOTCL1_0A3 -DHAVE_OTCL_H -DHAVE_LIBTK8_0 -DHAVE_TK_H -DHAVE_LIBTCL8_0 -DHAVE_TCL_H -I.   -I../TclCL -I../otcl -I/nsallinone/ns-2/../tk8.0/generic -I../tcl8.0/generic -o tcp-asym-sink.o tcp-asym-sink.cc
In file included from ../TclCL/tclcl.h:44,
                 from scheduler.h:40,
                 from packet.h:41,
                 from flags.h:45,
                 from tcp-asym-sink.cc:65:
../otcl/otcl.h:28: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:28: `in' was not declared in this scope
../otcl/otcl.h:28: `ClientData' was not declared in this scope
../otcl/otcl.h:28: parse error before `)'
../otcl/otcl.h:31: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:31: `in' was not declared in this scope
../otcl/otcl.h:31: `ClientData' was not declared in this scope
../otcl/otcl.h:31: parse error before `)'
../otcl/otcl.h:34: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:34: `in' was not declared in this scope
../otcl/otcl.h:34: parse error before `*'
../otcl/otcl.h:37: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:37: `in' was not declared in this scope
../otcl/otcl.h:37: parse error before `*'
../otcl/otcl.h:40: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:40: `in' was not declared in this scope
../otcl/otcl.h:40: parse error before `*'
../otcl/otcl.h:43: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:43: `in' was not declared in this scope
../otcl/otcl.h:43: parse error before `*'
../otcl/otcl.h:46: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:46: `in' was not declared in this scope
../otcl/otcl.h:46: parse error before `*'
../otcl/otcl.h:49: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:49: `in' was not declared in this scope
../otcl/otcl.h:49: parse error before `*'
../otcl/otcl.h:52: type specifier omitted for parameter
../otcl/otcl.h:52: parse error before `*'
../otcl/otcl.h:56: type specifier omitted for parameter
../otcl/otcl.h:56: parse error before `*'
../otcl/otcl.h:66: type specifier omitted for parameter
../otcl/otcl.h:66: parse error before `*'
../otcl/otcl.h:70: type specifier omitted for parameter
../otcl/otcl.h:70: parse error before `*'
../otcl/otcl.h:74: type specifier omitted for parameter
../otcl/otcl.h:74: parse error before `*'
../otcl/otcl.h:78: type specifier omitted for parameter
../otcl/otcl.h:78: parse error before `*'
../otcl/otcl.h:82: type specifier omitted for parameter
../otcl/otcl.h:82: parse error before `*'
../otcl/otcl.h:86: type specifier omitted for parameter
../otcl/otcl.h:86: parse error before `)'
../otcl/otcl.h:90: type specifier omitted for parameter
../otcl/otcl.h:90: parse error before `*'
../otcl/otcl.h:96: `Tcl_Interp' was not declared in this scope
../otcl/otcl.h:96: `in' was not declared in this scope
../otcl/otcl.h:96: warning: `Otcl_Init' initialized and declared `extern'
In file included from ../TclCL/tclcl.h:48,
                 from scheduler.h:40,
                 from packet.h:41,
                 from flags.h:45,
                 from tcp-asym-sink.cc:65:
../TclCL/tclcl-mappings.h:48: parse error before `,'
In file included from scheduler.h:40,
                 from packet.h:41,
                 from flags.h:45,
                 from tcp-asym-sink.cc:65:
../TclCL/tclcl.h:59: `Tcl_Interp' was not declared in this scope
../TclCL/tclcl.h:59: parse error before `,'
../TclCL/tclcl.h:62: `Tcl_Interp' declared as an `inline' field
../TclCL/tclcl.h:62: parse error before `*'
../TclCL/tclcl.h: In function `static class Tcl & Tcl::instance()':
../TclCL/tclcl.h:60: `instance_' undeclared (first use this function)
../TclCL/tclcl.h:60: (Each undeclared identifier is reported only once
../TclCL/tclcl.h:60: for each function it appears in.)
../TclCL/tclcl.h: In method `int Tcl::dark() const':
../TclCL/tclcl.h:61: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h: At top level:
../TclCL/tclcl.h:85: extraneous `char' ignored
../TclCL/tclcl.h:85: non-member function `result()' cannot have `const' method qualifier
../TclCL/tclcl.h: In function `class Tcl * result()':
../TclCL/tclcl.h:85: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h: In function `void result(const char *)':
../TclCL/tclcl.h:87: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h: At top level:
../TclCL/tclcl.h:89: type specifier omitted for parameter
../TclCL/tclcl.h:89: parse error before `*'
../TclCL/tclcl.h: In function `void CreateCommand(...)':
../TclCL/tclcl.h:92: warning: implicit declaration of function `int Tcl_CreateCommand(...)'
../TclCL/tclcl.h:92: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h:92: `cmd' undeclared (first use this function)
../TclCL/tclcl.h:92: `cproc' undeclared (first use this function)
../TclCL/tclcl.h:92: `cd' undeclared (first use this function)
../TclCL/tclcl.h:92: `dproc' undeclared (first use this function)
../TclCL/tclcl.h: At top level:
../TclCL/tclcl.h:94: `Tcl_CmdProc' was not declared in this scope
../TclCL/tclcl.h:94: `cproc' was not declared in this scope
../TclCL/tclcl.h:95: `ClientData' was not declared in this scope
../TclCL/tclcl.h:95: parse error before `='
../TclCL/tclcl.h: In function `void CreateCommand(...)':
../TclCL/tclcl.h:96: redefinition of `void CreateCommand(...)'
../TclCL/tclcl.h:91: `void CreateCommand(...)' previously defined here
../TclCL/tclcl.h: In function `void CreateCommand(...)':
../TclCL/tclcl.h:97: `buffer_' undeclared (first use this function)
../TclCL/tclcl.h: In function `void DeleteCommand(const char *)':
../TclCL/tclcl.h:100: warning: implicit declaration of function `int Tcl_DeleteCommand(...)'
../TclCL/tclcl.h:100: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h: In function `void EvalFile(const char *)':
../TclCL/tclcl.h:103: warning: implicit declaration of function `int Tcl_EvalFile(...)'
../TclCL/tclcl.h:103: `tcl_' undeclared (first use this function)
../TclCL/tclcl.h:103: `TCL_OK' undeclared (first use this function)
../TclCL/tclcl.h:104: warning: implicit declaration of function `int error(...)'
../TclCL/tclcl.h: At top level:
../TclCL/tclcl.h:106: `TCL_GLOBAL_ONLY' was not declared in this scope
../TclCL/tclcl.h:106: confused by earlier errors, bailing out
*** Error code 1
make: Fatal error: Command failed for target `tcp-asym-sink.o'



Thank you.


harlisya