43.2 PackMimeHTTP Random Variables

This implementation of PackMimeHTTP provides several ns RandomVariable objects for specifying distributions of PackMimeHTTP connection variables. The implementations were taken from source code provided by Bell Labs and modified to fit into the ns RandomVariable framework. This allows PackMimeHTTP connection variables to be specified by any type of ns RandomVariable, which now include PackMimeHTTP-specific random variables. If no RandomVariables are specified in the TCL script, PackMimeHTTP will set these automatically.

The PackMimeHTTP-specific random variable syntax for TCL scripts is as follows:

Tom Henderson 2011-11-05